Παρακαλώ χρησιμοποιήστε αυτό το αναγνωριστικό για να παραπέμψετε ή να δημιουργήσετε σύνδεσμο προς αυτό το τεκμήριο: https://hdl.handle.net/20.500.14279/19445
Τίτλος: 2.5D Root of Trust: Secure System-Level Integration of Untrusted Chiplets
Συγγραφείς: Nabeel, Mohammed 
Ashraf, Mohammed 
Patnaik, Satwik 
Soteriou, Vassos 
Sinanoglu, Ozgur 
Knechtel, Johann 
Major Field of Science: Engineering and Technology
Field Category: Electrical Engineering - Electronic Engineering - Information Engineering
Λέξεις-κλειδιά: Security;Integrated circuits;Three-dimensional displays;Hardware;Runtime;Monitoring;Supply chains;Hardware security;2.5D integration;Active interposer;Chiplets;Multi-core system;Runtime monitoring;Policies
Ημερομηνία Έκδοσης: 1-Νοε-2020
Πηγή: IEEE Transactions on Computers, 2020, vol. 69, no. 11, pp. 1611-1625
Volume: 69
Issue: 11
Start page: 1611
End page: 1625
Περιοδικό: IEEE Transactions on Computers 
Περίληψη: For the first time, we leverage the 2.5D interposer technology to establish system-level security in the face of hardware- and software-centric adversaries. More specifically, we integrate chiplets (i.e., third-party hard intellectual property of complex functionality, like microprocessors) using a security-enforcing interposer. Such hardware organization provides a robust 2.5D root of trust for trustworthy, yet powerful and flexible, computation systems. The security paradigms for our scheme, employed firmly by design and construction, are: 1) stringent physical separation of trusted from untrusted components and 2) runtime monitoring. The system-level activities of all untrusted commodity chiplets are checked continuously against security policiesvia physically separated security features. Aside from the security promises, the good economics of outsourced supply chains are still maintained; the system vendor is free to procure chiplets from the open market, while only producing the interposer and assembling the 2.5D system oneself. We showcase our scheme using the Cortex-M0 core and the AHB-Lite bus by ARM, building a secure 64-core system with shared memories. We evaluate our scheme through hardware simulation, considering different threat scenarios. Finally, we devise a physical-design flow for 2.5D systems, based on commercial-grade design tools, to demonstrate and evaluate our 2.5D root of trust.
URI: https://hdl.handle.net/20.500.14279/19445
ISSN: 15579956
DOI: 10.1109/TC.2020.3020777
Rights: © IEEE
Attribution-NonCommercial-NoDerivatives 4.0 International
Type: Article
Affiliation: New York University Abu Dhabi 
New York University 
Cyprus University of Technology 
Εμφανίζεται στις συλλογές:Άρθρα/Articles

CORE Recommender
Δείξε την πλήρη περιγραφή του τεκμηρίου

SCOPUSTM   
Citations

13
checked on 6 Νοε 2023

WEB OF SCIENCETM
Citations

6
Last Week
1
Last month
0
checked on 29 Οκτ 2023

Page view(s) 50

353
Last Week
3
Last month
8
checked on 9 Μαϊ 2024

Google ScholarTM

Check

Altmetric


Αυτό το τεκμήριο προστατεύεται από άδεια Άδεια Creative Commons Creative Commons