Please use this identifier to cite or link to this item: https://hdl.handle.net/20.500.14279/9771
Title: Use it or lose it: Wear-out and lifetime in future chip multiprocessors
Authors: Kim, Hyungjun 
Vitkovskiy, Arseniy 
Gratz, Paul V. 
Soteriou, Vassos 
metadata.dc.contributor.other: Σωτηρίου, Βάσος
Major Field of Science: Engineering and Technology
Field Category: Electrical Engineering - Electronic Engineering - Information Engineering
Keywords: Hot carrier injection (HCI);Lifetime;Network-on-chip;Wearout;Negative bias temperature instability (NBTI)
Issue Date: 1-Dec-2013
Source: 46th Annual IEEE/ACM International Symposium on Microarchitecture, 2013, United States
Conference: Annual IEEE/ACM International Symposium on Microarchitecture 
Abstract: Moore's Law scaling is continuing to yield even higher transistor density with each succeeding process generation, leading to today's multi-core Chip Multi-Processors (CMPs) with tens or even hundreds of interconnected cores or tiles. Unfortunately, deep sub-micron CMOS process technology is marred by increasing susceptibility to wearout. Prolonged operational stress gives rise to accelerated wearout and failure, due to several physical failure mechanisms, including Hot Carrier Injection (HCI) and Negative Bias Temperature Instability (NBTI). Each failure mechanism correlates with different usage-based stresses, all of which can eventually generate permanent faults. While the wearout of an individual core in many-core CMPs may not necessarily be catastrophic for the system, a single fault in the inter-processor Network-on-Chip (NoC) fabric could render the entire chip useless, as it could lead to protocol-level deadlocks, or even partition away vital components such as the memory controller or other critical I/O. In this paper, we develop critical path models for HCI- and NBTI-induced wear due to the actual stresses caused by real workloads, applied onto the interconnect microarchitecture. A key finding from this modeling being that, counter to prevailing wisdom, wearout in the CMP on-chip interconnect is correlated with lack of load observed in the NoC routers, rather than high load. We then develop a novel wearout-decelerating scheme in which routers under low load have their wearout-sensitive components exercised, without significantly impacting cycle time, pipeline depth, area or power consumption of the overall router. We subsequently show that the proposed design yields a 13.8x-65x increase in CMP lifetime.
ISBN: 978-145032638-4
DOI: 10.1145/2540708.2540721
Rights: © 2013 ACM.
Type: Conference Papers
Affiliation : Texas A and M University 
Cyprus University of Technology 
Appears in Collections:Δημοσιεύσεις σε συνέδρια /Conference papers or poster or presentation

CORE Recommender
Show full item record

SCOPUSTM   
Citations

37
checked on Nov 6, 2023

Page view(s)

327
Last Week
1
Last month
22
checked on Apr 28, 2024

Google ScholarTM

Check

Altmetric


Items in KTISIS are protected by copyright, with all rights reserved, unless otherwise indicated.