Παρακαλώ χρησιμοποιήστε αυτό το αναγνωριστικό για να παραπέμψετε ή να δημιουργήσετε σύνδεσμο προς αυτό το τεκμήριο: https://hdl.handle.net/20.500.14279/4292
Τίτλος: An artificial neural network-based hotspot prediction mechanism for NoCs
Συγγραφείς: Kakoulli, Elena 
Theocharides, Theocharis 
Soteriou, Vassos 
metadata.dc.contributor.other: Σωτηρίου, Βάσος
Κακουλλή, Έλενα
Major Field of Science: Engineering and Technology
Field Category: Electrical Engineering - Electronic Engineering - Information Engineering
Λέξεις-κλειδιά: Computer science;Neural networks;Networks on a chip;Hardware;Routers (Computer networks)
Ημερομηνία Έκδοσης: 2010
Πηγή: 2010 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2010, pp. 339-344
Conference: IEEE Computer Society Annual Symposium on VLSI 
Περίληψη: Hotspots are network on-chip (NoC) routers or modules in systems on-chip (SoCs) which occasionally receive packetized traffic at a rate higher than they can consume it. This adverse phenomenon greatly reduces the performance of an NoC, especially in the case of today's widely-employed wormhole flow-control, as backpressure can cause the buffers of neighboring routers to quickly fill-up leading to a spatial spread in congestion that can cause the network to saturate. Even worse, such situations may lead to deadlocks. Thus, a hotspot prevention mechanism can be greatly beneficial, as it can potentially enable the interconnection system to adjust its behavior and prevent the rise of potential hotspots, subsequently sustaining NoC performance and efficiency. Unfortunately, hotspots cannot be known a-priori in NoCs used in general-purpose systems as application demands are not predetermined unlike in application-specific SoCs, making hotspot prediction and subsequently prevention difficult. In this paper we present an artificial neural network-based hotspot prediction mechanism that can be potentially used in tandem with a hotspot avoidance mechanism for handling an unforeseen hotspot formation efficiently. The network uses buffer utilization statistical data to dynamically monitor the interconnect fabric, and reactively predicts the location of an about to-be-formed hotspot, allowing enough time for the system to react to these potential hotspots. The neural network is trained using synthetic traffic models, and evaluated using both synthetic and real application traces. Results indicate that a relatively small neural network can predict hotspot formation with accuracy ranges between 76% to 92% when evaluated on two different mesh NoCs
URI: https://hdl.handle.net/20.500.14279/4292
DOI: 10.1109/ISVLSI.2010.50
Rights: © Copyright 2010 IEEE
Type: Conference Papers
Affiliation: Cyprus University of Technology 
Εμφανίζεται στις συλλογές:Κεφάλαια βιβλίων/Book chapters

CORE Recommender
Δείξε την πλήρη περιγραφή του τεκμηρίου

SCOPUSTM   
Citations 20

4
checked on 8 Νοε 2023

Page view(s) 50

394
Last Week
0
Last month
12
checked on 28 Αυγ 2024

Google ScholarTM

Check

Altmetric


Όλα τα τεκμήρια του δικτυακού τόπου προστατεύονται από πνευματικά δικαιώματα